Data Loading...

Process News Spring 2015 | OI Plasma Technology

238 Views
45 Downloads
11.26 MB

Twitter Facebook LinkedIn Copy link

DOWNLOAD PDF

REPORT DMCA

RECOMMEND FLIP-BOOKS

Process News Spring 2019 | OI Plasma Technology

Process News Spring 2019 | OI Plasma Technology NEWS PROCESS NEWS A Newsletter from Oxford Instrumen

Read online »

Process News Spring 2016 | OI Plasma Technology

GoGreen16 PROCESS NEWS 13 New etching process for Magnetic RAM developed by Cornell and Oxford Instr

Read online »

Process News Autumn 2016 | OI Plasma Technology

- 3% Uniformity (over 150mm)

Read online »

Process News Summer 2018 | OI Plasma Technology

Process News Summer 2018 | OI Plasma Technology NEWS PROCESS NEWS A Newsletter from Oxford Instrumen

Read online »

2015 Spring

22 COAST TO COAST SPRING 2015

Read online »

PEG Magazine - Spring 2015

Occupation Field Award, India-Canada Association of Calgary (2007) • Champion of the Schulich School

Read online »

Vintage-KC-Magazine-Spring-2015

And while you’re there, check out all the fun stuff we’ve pinned — everything from offices to kitche

Read online »

Fine Art Collector | Spring 2015

2”x44” £695 Boxedcanvas Editionof150 ImageSize32”x32” £650 64 FIN e ARTC o LL e CT o R SPRING2015

Read online »

Policy News Journal - 2015-16

2016, Page 7 of 453

Read online »

SOM_Mortgage Process

or IRS tax transcripts. Hold onto new paystubs as received. • ASSETS - Continue saving account state

Read online »

Process News Spring 2015 | OI Plasma Technology

PROCESS NEWS

Follow us on...

A Newsletter from Oxford Instruments Plasma Technology

@oxinst

/oxinst

Welcome to this issue of PROCESS NEWS

IN THIS ISSUE

2/3/4 Role of Plasma Enhanced Chemical Vapour Deposition (PECVD) in Thin Film Silicon Photovoltaics 4 One of the largest semiconductor companies in Europe signs agreement for multiple Failure Analysis systems from Oxford Instruments

5

Getting a grip on sapphire etching: Novel clamping of sapphire looks to unleash brighter, cheaper LEDs Micro-and nanopillar patterning of GaN layer using an Oxford Instruments plasma etching system World leading graphene research at The University of Manchester uses our tools

6/7

8

9 Introducing the Nanofab 1200 10/11 Direct comparison of the performance of and Cr 12/13 2D plenary sessions attracted enormous interest at recent Beijing Nanotechnology Seminar 13 Facilitating a “New Era of Science” at CUNY, USA 14/15 Novel silicon nitride ICP etching process with high selectivity over silicon dioxide for gate spacers 16 Check out our Upgrades! 16 We’re flexible with our support commonly used e-beam resists during nano-scale plasma etching of Si, SiO 2

Enabling emerging applications We provide technologies that address existing and emerging applications in nanotechnology markets. With our broad process and application portfolio, our technologies enable many of the applications identified today and those of tomorrow. Look inside this issue to find out more... www.oxford-instruments.com/plasma

PROCESS NEWS 1

Role of plasma enhanced chemical vapour deposition (PECVD) in thin film silicon photovoltaics

Dr Sekhar Bhattacharya, Dr Balai Bhargav, Mr Nafis Ahmed, Mr Balaji Chandra and Mr Arrokiya Doss, SSN Research Centre, Chennai-603110, INDIA

• 0.5-2.0 Torr operating pressure and 0.02-2.0 Wcm-2 power density The Oxford Instruments Plasma Pro ® 100 system was utilised for the following applications at the SSN Research Centre: • To deposit amorphous silicon films (doped and intrinsic) for fabrication of thin film solar cell on glass • To deposit silicon nanowires In PECVD amorphous silicon (a-Si) is deposited by plasma from a mixture of SiH 4 and H 2 gases at substrate temperature of 200-3000 o C. A typical recipe for deposition of amorphous silicon is given below:

Plasma Enhanced Chemical Vapor Deposition (PECVD) is an excellent process for depositing a variety of thin films at lower temperatures. It is a process used to deposit thin films from a gaseous state to a solid state on a substrate. Chemical reactions occur after creation of plasma of the reacting gases. Since the formation

of the reactive and energetic species in the gas phase occurs by collision in the gas phase, the substrate can be maintained at a low temperature. Hence, film formation can occur on substrates at a lower temperature than is possible in the conventional CVD process. Some of the desirable properties of PECVD films are good adhesion, good step coverage and uniformity of deposition. Some of the popular applications of PECVD include deposition of passive and active waveguide layers, dielectric films such as silicon dioxide, low stress and low temperature silicon nitride, amorphous silicon and silicon carbide.

SiH

4 flow = 20 sccm , H 2

flow = 60 sccm, Process pressure =

1000 mTorr,

Substrate temperature = 200 C, Plasma power = 31 mW/cm 2 .

If doped amorphous silicon is to be deposited then B 2 H 6 flow of 1 - 2 sccm or PH 3 flow of 1 - 2 sccm is introduced into the process chamber for P and N doping respectively. The structure of an amorphous silicon thin film solar cell is given below. Substrate is a transparent conducting oxide (TCO) coated glass on which P-I-N amorphous silicon layers are deposited by PECVD followed by metallisation in a vacuum thermal evaporator.

P a-Si layer

I a-Si layer

N a-Si layer

SiH

4 : 20 sccm

SiH

4 : 20 sccm

SiH

4 : 20 sccm

B

H

6 :1.2 sccm

PH

3 : 1.5 sccm

2

PECVD Reactor: Oxford Instruments Plasma Pro 100 system

H

2 : 100 sccm

H

2 : 100 sccm

H

2 : 100 sccm

The key features of the system are: • Top electrode RF driven (13.56 MHz) • Substrate is transferred from loadlock and sits directly on heated electrode • Gas injected into process chamber via top “Showerhead”

20-30 nm

300-350 nm

30-40 nm

Silicon nanowires can be made by PECVD by VLS Mechanism. The vapor-liquid-solid method (VLS) is a mechanism for the growth of one-dimensional structures, such as nanowire, from chemical vapor deposition.

2 PROCESS NEWS

061

Al N a-Si

I a-Si

P a-Si

SnO

:F

2

Glass

Light

The schematic structure of amorphous silicon thin film solar cell

The actual solar cell (left) with I-V plot (right) under 1.5M sun

becoming energetically unfavorable, and nanometer-sized particles act to minimize their surface energy by forming droplets. 4. Si has a much higher melting point (~1414 °C) than that of the eutectic alloy, therefore Si atoms precipitate out of the supersaturated liquid-alloy droplet at the liquid-alloy/solid-Si interface and the droplet rises from the surface. Process flow:

The VLS mechanism introduces a catalytic liquid alloy phase which can rapidly adsorb a vapor to supersaturation levels from which crystal growth can subsequently occur from nucleated seeds at the liquid-solid interface. The physical characteristics of nanowires grown in this manner depend, in a controllable way, upon the size and physical properties of the liquid alloy. For the growth of silicon nanowires by PECVD the process is as follows: 2. The wafer is annealed at temperatures higher than the Metal-Si eutectic point, creating Metal-Si alloy droplets on the wafer surface (the thicker the Metal film, the larger the droplets). Mixing Metal with Si greatly reduces the melting temperature of the alloy as compared to the alloy constituents. For eg, The melting temperature of the Au-Si alloy reaches a minimum (~363 °C) when the ratio of its constituents is 4:1 Au-Si, also known as the Au-Si eutectic point. 3. One-dimensional nanowires are then grown by a liquid metal-alloy droplet-catalyzed chemical vapor deposition process. Metal-Si droplets on the surface of the substrate act to lower the activation energy of normal vapor-solid growth. However, Au particles can form Au-Si eutectic droplets at temperatures above 363 °C and adsorb Si from the vapor state (due to the fact that Au can form a solid-solution with all Si concentrations up to 100%) until reaching a supersaturated state of Si in Metal. Furthermore, nanosized Metal-Si droplets have much lower melting points due to the fact that the surface area-to-volume ratio is increasing, 1. A thin (~ 1-5 nm) metal film is deposited onto a silicon (Si) wafer substrate by sputter deposition or thermal evaporation.

Substrate cleaning RCA cleaning with Piranha, HF, and DI water

Thin film deposition Deposition of metal thin film catalyst by PVD

Annealing and characterisation of metal film At temperature higher than metal-Si eutectic temperature so that the thin film tears into nanodroplets of the metal-Si alloy PECVD Silane gas is passed through the chamber for nanodroplets to adsorb Si atoms Nanowire formation The nanodroplet catalyses the growth of nanowire and can be found at the tip of the wire Characterisation SEM/Raman analysis, reflectance spectrum studies, thickness measurement of nanowire layer

Continued overleaf

PROCESS NEWS 3

Role of Plasma Enhanced Chemical Vapour Deposition (PECVD) in Thin Film Silicon Photovoltaics.. . continued

One of the largest semiconductor companies in Europe signs agreement for multiple Failure Analysis systems from Oxford Instruments We’ve signed an agreement with one of the largest semiconductor companies in Europe for the supply of multiple Failure Analysis (FA) systems, to be installed in its manufacturing sites globally over a period of two years. The first system orders have already been placed for two Plasma Pro ® 80 ICP 65 systems, for sites in Europe and Asia. These systems, for single dies or chips up to 200mm wafers, offer industry leading high density plasma sources and ultra low plasma damage.

Silicon nanowires grown by PECVD with silane and argon gas mixture using gold as metal catalyst on silicon substrate

Silicon nanowires grown by PECVD with silane gas using gold as metal catalyst on sapphire substrate

The company needed high specification equipment for FA to replace their existing long-running installed base, consisting mainly of Oxford Instruments’ systems. After a stringent selection process they decided once again to choose our company. The requirements are for systems offering front and back end removal processes. These applications for isotropic/anisotropic back-etching include processes for polyimide and passivation, IMD/ILD materials and low-k Oxide. Also Poly-Si etching, removal of thin active Si layers, selectivity control for the removal of SiO 2 /SiNx spacers, and Si-backside removal / thinning process are part of the supplied processes. We will provide all this in one compact tool, in addition to the comprehensive global service and support required.

Raman spectrum of SiNW recorded at room temperature. The ultra-sharp pointed SiNW has a peak centered at 501 cm- 1 .

Dr Sekhar Bhattacharya was a guest speaker at the Oxford Instruments Nanotechnology Tools Seminar held in Calcutta in November 2014.

4 PROCESS NEWS

Getting a grip on sapphire etching: Novel clamping of sapphire looks to unleash brighter, cheaper LEDs

By Dr Mark Dineen, Oxford Instruments From an article published in Compound Semiconductor January/February 2015 edition

Patterned sapphire substrates or PSS have been widely adopted by LED manufacturers striving to make cheaper devices that emit more light. Oxford Instruments has developed

the Plasma Pro 100 Polaris tool to etch highly controlled PSS patterns in an efficient manner.

With the drive to improve device dollars per lumen LED manufacturers are constantly looking for innovative solutions. Etching a specific pattern into the sapphire wafer prior to MOCVD growth is such an innovation and it is becoming standard because it delivers two key benefits: • It increases the fraction of light emitted from the device, thanks to the controlled texture

• It leads to a lower density of defects within the film, thanks to growth on a three-dimensional landscape that spurs earlier coalescence of GaN epitaxial islands during MOCVD growth The downside of turning to patterned sapphire is that etching this material into useful patterns is not easy. There is a processing cost involved, and this must be low enough to not negate the benefit associated with increased LED performance.

Through specially developed hardware the

Plasma Pro 100 Polaris tool etches the PSS wafer and gives the pattern control required to provide maximum light output.

Contact us at [email protected] to receive the full article or go to www.oxford-instruments.com/Sapphire-Etching

PROCESS NEWS 5

Micro-and nanopillar patterning of GaN layer using an Oxford Instruments plasma etching system

Dr Nagarajan Subramaniyam and Prof Markku Sopanen, Optoelectronics Group, Dept. of Micro and Nanosciences, Micronova, Aalto University, Finland

Innovations in visible (ultraviolet to near infrared) region optoelectronic devices are revolutionizing lighting technology, as exemplified by Nobel prizes given to the Gallium nitride (GaN) based light emitting diodes (LEDs) in 2014. These innovations are widely used in modern smart phones and energy efficient light bulbs. Commercially available white LEDs are a combination of GaN based LEDs in the blue or UV wavelength with appropriate phosphors to produce a desired white light. The efficacy of GaN light sources demonstrated in recent years has already exceeded 245 lm/W for white light. Although GaN based LEDs are commercially available on the market, there are still many challenges needing to be addressed. The light extraction efficiency, green/yellow gap and high dislocation density on sapphire substrates are major challenges for future high efficiency LEDs. In order to reduce the dislocation density and

Dr Nagarajan was a guest speaker at the Oxford Instruments Nanotechnology Tools Seminars held in Calcutta and New Delhi in November 2014

increase the light extraction efficiency, micro- and nanopillar patterned a GaN template is used. GaN micro- and nanopillar patterning can be created by a top-down etch process using Oxford Instruments’ inductively coupled plasma-reactive ion etching (ICP-RIE) Plasmalab system. Fig.1 a) shows the scanning electron microscopy (SEM) image of micropillar patterned GaN template using Oxford Instruments’ ICP-RIE system from a 3-µm thick GaN layer [1]. GaN micropillar structures were arranged by conventional photolithography methods and etched down to the sapphire substrate. The etching conditions were 15 sccm of Cl 2 and 2.5 sccm of Ar with a total pressure of 4 mTorr. The RF power was kept as 150W while 450W of ICP power was used during the etching process. The pillar diameter, height and pillar center to center distances were 2µm, 3µm and 4μm, respectively. A very smooth and vertical sidewall is achieved by optimizing the etching parameters. The etched GaN micropillar quality is studied by a confocal Raman mapping technique. Figure 1 b) shows the top view confocal

A

B

6 PROCESS NEWS

061 to achieve high efficient light emitting diodes. Figure 2 b) shows the high-crystalline InGaN active region grown on (0001) top facet, six smooth tilted facets of {1-101}, and {10- 10} m planes.

Raman intensity imaging of etched GaN micropillars. The full- width half maximum of E 2 (high) phonon value is 4.2±0.5 cm- 1 which indicates the high-crystalline quality of GaN micropillars fabricated by Oxford Instruments ICP-RIE [2]. The etched GaN micropillar template is used to grow 5-µm thick GaN layer and followed by InGaN/GaN multi-quantum well (MQWs) based light emitting diodes (LEDs). The optical output performance is dramatically increased in micropillar patterned GaN template compared to the normal GaN template as shown in Fig. 1c). Figure 1 . a) SEM image of patterned GaN micropillars b) Confocal Raman intensity imaging of GaN micropillars c) Light output performance of InGaN/GaN quantum-well on patterned GaN micropillar at different excitation powers.

In conclusion, micro- and nanopillar patterned GaN template etched by Oxford Instruments' ICP-RIE have wide application in the field of optoelectronic devices.

A

C

Figure 2 a) SEM image of etched GaN nanopillars using ICP-RIE b) SEM image of re-grown InGaN/GaN MQWs on etched GaN nanopillar template.

B

GaN nanostructures have been proposed for highly efficient future nano-optoelectronic devices. Figure 2a) shows the SEM image of GaN nanopillars fabricated by self-assembled Ni mask and ICP-RIE etching. The strain distribution of individual GaN nanopillar is studied by a confocal Raman mapping technique [3]. The GaN nanopillar template is used to re-grow InGaN/ GaN multi-quantum wells (MQWs) on different crystal planes

References: 1. O. Svensk, M. Ali, L. Riuttanen, P. T. Törmä, S. Sintonen, S. Suihkonen, M. Sopanen and H. Lipsanen. J. Crys. Grow. 370, 42-45 (2013). 2. S. Nagarajan, O. Svensk, M. Ali, G. Naresh-Kumar, C. Trager-Cowan, S. Suihkonen, M. Sopanen and H. Lipsanen. Appl. Phys. Lett. 103, 012102 (2013). 3. S. Nagarajan, O. Svensk, L. Lehtola, H. Lipsanen and M. Sopanen. Appl. Phys. Lett. 104, 151906 (2014).

PROCESS NEWS 7

The University of Manchester uses our tools for world leading graphene research

Ground breaking research into graphene and other 2D materials will take place at The University of Manchester’s new National Graphene Institute using multiple, recently purchased, plasma etch and deposition systems from Oxford Instruments. These semiconductor processing tools will facilitate the potential applications of these materials in novel electronics and optoelectronics applications. We were chosen to supply Plasma Pro ® PECVD and ICP-CVD deposition tools, and Plasma Pro ICP etch tools, that will enable the fabrication of tailored substrates for graphene such as SiN membranes which are useful for both fundamental and applied research on graphene and 2D materials.

“Our £61 million facility is being designed with the goal to be the world-leading research and incubator centre dedicated to the development of graphene, helping the UK to remain at the forefront of the commercialisation of this revolutionary material” , comments Dr Ernie Hill, Senior Lecturer & Assistant Director of The Manchester Centre for Mesoscience & Nanotechnology,

“We chose Oxford Instruments’ tools as they could provide the breadth of high technology process solutions and hardware that our users will require to fulfil their research. The excellent support offered will ensure maximum uptime of the systems, which is critical for our users.”

8 PROCESS NEWS

Introducing the Nanofab 1200

Growth of 2D materials and other nanostructures

Chemical Vapour Deposition (CVD) has been one of the most successful techniques for the fabrication of nanostructured materials such as graphene, carbon nanotubes and other 1D and 2D nanomaterials. Oxford Instruments offers highly flexible tools and proven processes to deliver growth of nanostructured materials as well as produce a broad range of Plasma Enhanced CVD (PECVD) films. The Nanofab 1200 is our latest CVD/PECVD system that is ideal for this field of research as it combines several essential features for high performance growth. • Cold wall design with showerhead based uniform precursor delivery • Remote plasma option via ICP • Vacuum load lock for quick sample exchange • Excellent temperature uniformity • Optional liquid/solid source delivery system • Capable of processing samples with sizes ranging from small pieces to 200mm wafers

• Multiple viewports for diagnostics Nanostructured materials: Graphene, hBN, Carbon Nanotubes, Si, Ge, ZnO, MoS 2 , WS 2 , Ga 2 O 3 , GaN, GaAs, GaP, InP, InN PECVD Films: SiO2, SiNx, a-Si, SiON, poly-Si, SiC

Cross-sectional TEM image of a hBN film on Ni substrate grown by CVD on the Nanofab1200 system. (TEM image courtesy of Dr. Peter Werner, Max Planck Institute of Microstructure Physics)

Remote Plasma ICPCVD

Raman Spectrum of Graphene grown on Ni foil on the Nanofab 1000 Agile

Nanocrystalline graphene film grown on 150 mm wafer via PECVD. Results obtained using Nanofab 1000 Agile 1 .

PROCESS NEWS 9

Direct comparison of the performance of commonly used e-beam resists during nano-scale plasma etching of Si, SiO 2 and Cr Andy Goodyear 1 , Monika Boettcher 2 , Ines Stolberg 2 , Mike Cooke 1 1) Oxford Instruments Plasma Technology, North End, Yatton, Bristol, BS49 4AP, UK 2) Vistec Electron Beam GmbH, Ilmstrasse 4, D-07743 Jena, Germany

Electron beam writing remains one of the reference pattern generation techniques, and plasma etching continues to underpin pattern transfer. We report a systematic study of the plasma etch resistance of several e-beam resists, both negative and positive as well as classical and Chemically Amplified Resists: HSQ (Dow Corning), PMMA (Allresist GmbH), AR-P6200 (Allresist GmbH), ZEP520 (Zeon Corporation), CAN028 (TOK), CAP164 (TOK), and an additional pCAR (non-disclosed provider). Their behaviour under plasma exposure to various nano-scale plasma etch chemistries was examined (SF 6 /C 4 F 8 ICP silicon etch, CHF 3 /Ar RIE SiO 2 etch, Cl 2 /O 2 RIE and ICP chrome etch, and HBr ICP silicon etch). Samples of each resist type were etched simultaneously to provide a direct comparison of their etch resistance. E-beam exposures were carried out on a Vistec SB254 (Vistec Electron Beam GmbH). This is a Variable Shaped Beam system operating at 50 kV which is applied for patterning resist masks for Electron Beam Direct Write (EBDW) on Silicon and III-V semiconductor materials, for Mask Writing on Quartz substrates, as well as for optical and emerging applications. Etching was carried out in a Plasma Pro 100 Cobra etch tool from Oxford Instruments Plasma Technology. Feature widths down to 30nm were e-beam written. Silicon trench widths down to 30nm were etched for most of the resist types, however it was decided to compare etch profiles using 50nm wide features in order to provide a full set of SEM >Page 1 Page 2 Page 3 Page 4 Page 5 Page 6 Page 7 Page 8 Page 9 Page 10 Page 11 Page 12 Page 13 Page 14 Page 15 Page 16

plasma.oxinst.com

Made with FlippingBook Annual report